EfinixのEfinity IDE - 入門チュートリアル

Logic Home

チュートリアル用VHDLコード

blinking_led.vhd (1.6 KB)

はじめに

このEfinix Efinityソフトウェア(バージョン2021.2.323.1.8)の紹介では、TrionのT120F576開発ボードを使用して簡単なプロジェクトを作成する方法について説明します。他の開発キットを使用する場合も同様です。新規プロジェクトの立ち上げ、コード入力、ピンアサイン、コンパイル、必要なドライバのインストール、ボードのプログラミングなどのトピックを扱っています。

新規プロジェクトの作成

新しいプロジェクトを作成するには、File(ファイル)→ Create Project(プロジェクトの作成)を選択すると、Project Editor(プロジェクトエディタ)ウィザードが起動します。図1に示すように、project name(プロジェクト名)とlocation(場所)を入力します。また、ターゲットFPGAとスピードグレードを指定します。TrionのT120F576 Dev Boardは、スピードグレードがI4の同名のFPGAを搭載しています。

1_project_editor_project

図1.プロジェクト名、プロジェクトの場所、FPGAファミリ、デバイス、およびスピードグレードの入力

次に、Design(デザイン)タブをクリックし、デザインファイルを指定します。図2に示すように、Add design file(デザインファイルの追加)アイコンをクリックします。上記のblinking_led.vhdファイルを選択し、Openをクリックします。

2_project_editor_add_design_file

図2.デザインファイルの追加

Top Module/Entity(トップモジュール/エンティティ)とTop Level VHDL Architecture(トップレベルVHDLアーキテクチャ)を指定します。この場合は図3のようにblinking_led.vhdファイル内のEntityを「blinking_led」に、Architectureを「behavior」にそれぞれ指定して、 OKをクリックします。

3_project_editor_design_tab

図3.デザインの指定

ピンアサイン

ピンアサインを行うには、Tools → Open Interface Designerを選択します。開いたら、Design → Show/Hide GPIO Resource Assignerを選択します。図4に示すように、左下のDesign ExplorerセクションでGPIO(0)を右クリックし、Create Blockをクリックします。

4_interface_designer_create_gpio_block

図4.GPIOインスタンスの作成

blinking_ledデザインには、clkledという2つのポートがあります。右下のBlock Editor (ブロックエディタ)で、Instance Nameフィールドに「clk」と入力し、Enterキーを押します。その結果は図5のようになります。

5_interface_designer_create_instance

図5.GPIOインスタンスの作成

ledポートに対して、この作業を繰り返します。GPIO(1)を右クリックしCreate Blockをクリックします。Instance Nameフィールドに「led」と入力してEnterキーを押します。このポートは出力ポートなので、Modeのドロップダウンからoutputを選択します。

FPGAピンをポートに割り当てるには、ポートに対応するGPIO Instance ViewResource列をクリックし、FPGAピンの名前を入力します。TrionのT120F576開発キットは、GPIOR_186 ピンに 50MHz の発振器を搭載しています。これをclkインスタンスにアサインします。GPIOB_RXP04ピン(キットのLED0)をledインスタンスに割り当ててください。図 6 を参照してください。

6_interface_designer_pin_assignments

図6.ピンアサイン

FileSaveをクリックします。次に、DesignCheck Designをクリックして、問題がないことを確認します。Efinity Interface Designerウィンドウを閉じます。

コンパイル

FlowSynthesizeを選択し、デザインのコンパイルを開始します。デフォルトでは、Efinityソフトウェアには自動コンパイルフローがあり、このステップではデザインを合成した後、すぐに配置配線に進み、ビットストリームファイルを生成します。これらのプロセスは、この機能(ダッシュボードの右上隅にあるアイコン)をオフに切り替えることで個別に実行できます。プロセスが完了すると、ダッシュボード上の各プロセスアイコンに緑のチェックマークが表示されます。図7は、全体のコンパイルが終了した後のダッシュボードです。

7_compilation_progress
図7.コンパイル完了

FPGAのプログラミング

TrionのT120F576 Development Kitに電源を供給し、USBケーブルでコンピュータに接続し、SW17を切り替えてスイッチを入れます。

Zadigを使ったUSBドライバのインストール

libsubK USBドライバをまだインストールしていない場合は、今すぐインストールしてください。

Zadigソフトウェア(こちらからダウンロードできます)をダウンロードし、開きます。OptionsList All Devices(すべてのデバイスを一覧表示 )を選択します。 OptionsIgnore Hubs or Composite Parentsのチェックを外します。 ドロップダウンからTrion T120F576 Development Board (Interface 0)を選択します。Driverの隣にあるlibusbK(version)を選択します。Replace Driver(ドライバの置き換え)をクリックします。

8_install_zadig_usb_driver

図8. libusbKドライバのインストール用Zadigソフトウェア

FPGAのコンフィギュレーション

ToolsOpen Programmer(プログラマを開く)を選択して、プログラマを開きます。 適切なUSBドライバがインストールされ、ターゲット開発キットが接続されて電源がオンになっている場合、USB Targetフィールドにターゲットボードが表示されます。

図9に示すように、Select Image Fileアイコンをクリックし、blinking_led.bitファイルを開いてください。Programming ModeのドロップダウンからJTAGを選択します。このドロップダウンの右側にある再生ボタンアイコンをクリックします。

プログラミングが完了すると、Trion T120F576 Development KitのLED D0が1秒に1回点滅します。

9_programmer

図9.FPGAのプログラミング

おわりに

このチュートリアルではEfinixのTrion T120F576開発キットを使用して、簡単なプロジェクトを作成するための必要な手順を説明しました。




オリジナル・ソース(English)